KLA-Tencor
Semiconductors Milpitas, California Public Corporation

Our Company

KLA-Tencor was formed in April 1997 through the merger of KLA Instruments (KLA) and Tencor Instruments (Tencor), two long-time leaders in the semiconductor equipment and yield management software system industry. Prior to the merger, both businesses served a segment of the inspection and metrology area; with KLA focused on defect inspection solutions and Tencor placing its emphasis on metrology solutions. Merging together in a one-to-one stock swap valued at $1.3 billion, KLA-Tencor became the most important process control player in the industry, bringing to market a complete line of yield management products and services from a single company. KLA was named after its founders, Ken Levy and Bob Anderson. The word “Tencor” came about because the founder of Tencor, Karel Urbanek, wanted a two syllable name that would be easy to remember. KLA Instruments was first established in 1975, with its first yield management product emerging on the market in 1978—an automated inspection system that reduced photomask inspection time from eight hours to 15 minutes. Shortly thereafter, KLA Instruments went public and expanded its inspection product portfolio to include patterned wafer inspection systems. Two years later, KLA further broadened its offerings into the wafer metrology business through optical overlay and line-width measurement systems. During the subsequent few years, the company expanded its product base through the development of software solutions to help integrate inspection and measurement data for yield management analysis—ultimately forming the industry’s first yield management software services group to provide customers with expertise in yield enhancement through engineering consulting services. 1977, Tencor Instruments established its name, and first introduced its yield management product—the Alpha-Step stylus surface profiler system just seven months later. This yield management solution provided significant improvement in step-height measurement, a critical parameter in measuring film layer thickness. In 1984, Tencor Instruments launched its first Surfscan product—a particle and contamination defect system based on laser scanning technology, which soon became the production standard. By the late 1990s, Tencor had broadened its yield management product offerings to also include defect review and data analysis tools. Following an initial public offering in 1993, Tencor then acquired Prometrix, a leading supplier of thin-film measurement solutions, and further expanded its yield management product offering. At the time of its merger with KLA, Tencor had revenues of approximately $403 million and 1,400 employees around the world. Since the merger in 1997, KLA-Tencor has acquired the following companies: 1998 • Amray Inc. • Nanopro GmbH • The Quantox product line from Keithley Instruments, Inc • VARS • The Ultrapointe subsidiary of Uniphase Corporation 1999 • ACME Systems Inc. 2000 • Fab Solutions, from ObjectSpace Inc. • FINLE Technologies, Inc. 2001 • Phase Metrics 2004 • Candela Instruments • Wafer Inspection Systems business of Inspex, Inc. 2006 • ADE Corporation 2007 • OnWafer Technologies • SensArray Corporation • Therma-Wave Corporation 2008 • ICOS Vision Systems Corporation NV • Microelectronic Inspection Equipment (MIE) business unit of Vistec Semiconductor Systems 2010 • Ambios Technology KLA-Tencor continues to serve the semiconductor equipment industry and also a number of other industries, including the light emitting diode (LED) and data storage, as well as general materials research. With a comprehensive portfolio of yield management products, systems, services, software and expertise, KLA-Tencor helps nanoeletronics manufacturers manage yield throughout their fabrication process—from research and development to final volume production. Designed to accelerate development and production ramp cycles, KLA-Tencor’s yield management products and solutions help customers achieve higher and more stable, while improving overall profitability. In 2011 KLA-Tencor celebrated its 35th anniversary.

Skills We're Looking For

Doctor of Philosophy Optics Physics Electrical Engineering Systems Engineering engineering Architecture Mathematics Electronic Design Semiconductor Matlab Python LabVIEW Radiant Zemax Bachelor of Science (BS) 3D CAD Welding Mechanical Design Mechanical Engineering Structural Design Metal Fabrication Mechanics Manufacturing Engineering Technology C++ Debugging Troubleshooting Qt Computer Science C++11 Object-Oriented Database Management System Technical Skill Mechanical System